FPGA hacking with free software tools from the IceStorm Project

Published on: 2016-03-13

Home | Archive

FPGA hacking with free software tools from the IceStorm Project - NITC FOSSMEET 2016

13th March, 2016

I was happy to be once again part of NITC FOSSMEET after a gap of two years!

My session on FPGA hacking was scheduled for 9:00AM on day 2. I was able to cover most of the topics I had planned - and all the hardware demos worked successfully( except the one where the audience was given an opportunity to control the demo hardware using a simple flask app I had written - my netbook was simply not reachable from any of the other machines on the network).

Fpga from pramode_ce
Download source code, documentation etc

IMG_20160227_103538791 IMG_20160229_171232599

IMG_20160229_171232599 IMG_20160227_172437128